N1EVH>APRS,TCPXX*,qAX,CWOP-5:@281320z4227.97N/07057.95W_199/001g002t047r006p047P042b10144h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@281325z4227.97N/07057.95W_199/000g002t047r005p047P042b10145h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@281330z4227.97N/07057.95W_199/000g000t047r005p048P043b10146h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@281335z4227.97N/07057.95W_011/000g003t047r005p048P043b10144h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281340z4227.97N/07057.95W_011/000g000t047r004p048P043b10141h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281345z4227.97N/07057.95W_011/000g000t047r005p049P044b10140h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@281355z4227.97N/07057.95W_011/001g002t047r005p049P044b10137h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@281405z4227.97N/07057.95W_136/000g001t047r005p050P045b10139h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281410z4227.97N/07057.95W_199/001g002t047r005p050P045b10142h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@281415z4227.97N/07057.95W_201/001g003t047r004p050P045b10145h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@281420z4227.97N/07057.95W_192/001g003t048r003p050P045b10148h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@281425z4227.97N/07057.95W_209/000g003t048r004p051P046b10148h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@281430z4227.97N/07057.95W_209/000g001t047r003p051P046b10147h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@281435z4227.97N/07057.95W_209/000g000t047r003p051P046b10145h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@281440z4227.97N/07057.95W_209/000g000t047r003p051P046b10142h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@281445z4227.97N/07057.95W_209/000g000t047r003p052P047b10143h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@281450z4227.97N/07057.95W_196/001g003t047r004p053P048b10142h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281455z4227.97N/07057.95W_128/002g003t047r004p053P048b10141h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@281500z4227.97N/07057.95W_128/000g003t047r004p054P049b10142h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@281505z4227.97N/07057.95W_128/000g000t047r004p054P049b10144h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@281510z4227.97N/07057.95W_128/000g000t047r005p055P050b10143h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@281525z4227.97N/07057.95W_128/002g003t046r006p057P052b10143h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281530z4227.97N/07057.95W_086/003g006t046r007p058P053b10143h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@281535z4227.97N/07057.95W_102/001g003t046r008p059P054b10143h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@281540z4227.97N/07057.95W_102/003g007t046r008p059P054b10143h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281545z4227.97N/07057.95W_092/002g003t046r008p060P055b10144h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@281550z4227.97N/07057.95W_083/002g006t046r007p060P055b10143h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281605z4227.97N/07057.95W_123/001g003t046r008p062P057b10144h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@281610z4227.97N/07057.95W_137/000g003t046r007p062P057b10144h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@281615z4227.97N/07057.95W_137/000g002t046r008p063P058b10143h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@281620z4227.97N/07057.95W_137/001g002t046r008p064P059b10142h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281625z4227.97N/07057.95W_137/000g001t046r008p065P060b10142h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@281635z4227.97N/07057.95W_137/000g000t046r007p066P061b10139h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@281640z4227.97N/07057.95W_137/000g000t046r008p067P062b10137h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@281645z4227.97N/07057.95W_136/002g003t046r007p067P062b10135h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@281650z4227.97N/07057.95W_134/002g003t046r007p067P062b10133h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@281655z4227.97N/07057.95W_134/001g003t046r007p068P063b10132h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281710z4227.97N/07057.95W_134/001g003t047r007p069P064b10128h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281715z4227.97N/07057.95W_134/000g002t047r006p069P064b10128h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@281725z4227.97N/07057.95W_134/000g000t047r005p070P065b10125h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@281735z4227.97N/07057.95W_134/001g003t048r004p070P065b10122h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@281745z4227.97N/07057.95W_134/001g003t048r004p071P066b10120h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@281750z4227.97N/07057.95W_134/000g000t048r004p071P066b10121h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281755z4227.97N/07057.95W_134/000g000t048r004p072P067b10121h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@281800z4227.97N/07057.95W_134/000g000t048r004p072P067b10121h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@281805z4227.97N/07057.95W_134/000g000t048r004p072P067b10119h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@281810z4227.97N/07057.95W_134/000g000t048r003p072P067b10119h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281815z4227.97N/07057.95W_134/000g000t048r003p072P067b10120h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@281825z4227.97N/07057.95W_134/000g000t048r003p073P068b10121h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@281830z4227.97N/07057.95W_134/000g003t048r003p073P068b10122h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@281835z4227.97N/07057.95W_134/001g003t048r004p074P069b10122h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@281840z4227.97N/07057.95W_134/001g002t048r004p074P069b10122h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281845z4227.97N/07057.95W_134/000g001t048r003p074P069b10122h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@281850z4227.97N/07057.95W_134/001g003t048r004p075P070b10123h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@281855z4227.97N/07057.95W_223/002g003t048r004p076P071b10121h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281900z4227.97N/07057.95W_223/000g000t048r004p076P071b10119h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281905z4227.97N/07057.95W_246/001g002t048r005p077P072b10117h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281915z4227.97N/07057.95W_246/000g002t048r007p079P074b10113h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@281920z4227.97N/07057.95W_246/000g000t048r007p080P075b10112h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@281925z4227.97N/07057.95W_246/000g000t048r008p081P076b10111h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@281930z4227.97N/07057.95W_246/000g000t048r009p082P077b10109h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@281935z4227.97N/07057.95W_246/000g000t048r008p082P077b10108h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@281940z4227.97N/07057.95W_246/000g000t049r009p083P078b10108h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@281945z4227.97N/07057.95W_302/001g002t049r010p084P079b10106h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@281950z4227.97N/07057.95W_304/001g003t049r009p084P079b10104h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@282000z4227.97N/07057.95W_300/001g003t049r009p085P080b10104h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@282010z4227.97N/07057.95W_302/001g002t049r008p086P081b10100h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@282015z4227.97N/07057.95W_319/001g003t050r008p087P082b10100h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@282020z4227.97N/07057.95W_321/000g002t050r007p087P082b10101h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@282030z4227.97N/07057.95W_321/000g000t050r006p088P083b10101h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@282035z4227.97N/07057.95W_321/000g000t050r007p089P084b10100h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@282040z4227.97N/07057.95W_321/001g002t050r007p090P085b10098h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@282045z4227.97N/07057.95W_321/000g000t050r007p091P086b10098h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@282050z4227.97N/07057.95W_321/000g001t050r007p091P086b10100h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@282105z4227.97N/07057.95W_294/001g003t050r007p093P088b10104h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@282110z4227.97N/07057.95W_294/000g002t050r007p093P088b10104h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@282120z4227.97N/07057.95W_290/000g000t050r007p094P089b10102h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@282130z4227.97N/07057.95W_290/000g000t050r008p096P091b10106h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@282135z4227.97N/07057.95W_290/000g000t050r008p097P092b10108h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@282140z4227.97N/07057.95W_290/000g000t050r008p098P093b10108h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@282150z4227.97N/07057.95W_279/002g003t050r009p100P095b10106h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@282155z4227.97N/07057.95W_289/003g006t050r009p101P096b10106h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@282200z4227.97N/07057.95W_303/002g008t050r011p103P098b10106h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@282205z4227.97N/07057.95W_321/002g005t050r011p104P099b10106h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@282210z4227.97N/07057.95W_301/001g006t050r012p105P100b10105h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@282215z4227.97N/07057.95W_329/004g012t050r012p106P101b10105h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@282220z4227.97N/07057.95W_331/003g009t050r012p106P101b10105h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@282225z4227.97N/07057.95W_295/002g005t050r012p107P102b10104h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@282230z4227.97N/07057.95W_317/002g008t050r012p108P103b10103h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@282235z4227.97N/07057.95W_342/002g007t049r011p108P103b10103h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@282240z4227.97N/07057.95W_317/002g009t049r011p109P104b10101h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@282245z4227.97N/07057.95W_332/001g003t049r010p109P104b10102h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@282250z4227.97N/07057.95W_330/001g002t049r010p110P105b10100h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@282255z4227.97N/07057.95W_334/002g003t049r009p110P105b10099h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@282300z4227.97N/07057.95W_342/001g005t049r008p111P106b10097h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@282310z4227.97N/07057.95W_334/002g005t049r008p113P108b10095h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@282315z4227.97N/07057.95W_334/001g005t049r008p114P109b10094h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@282320z4227.97N/07057.95W_349/001g003t049r009p115P110b10093h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@282330z4227.97N/07057.95W_340/001g006t049r008p116P111b10092h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@282335z4227.97N/07057.95W_345/002g006t049r009p117P112b10090h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@282345z4227.97N/07057.95W_009/002g003t049r009p118P113b10088h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@282350z4227.97N/07057.95W_346/001g003t049r009p119P114b10087h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@282355z4227.97N/07057.95W_350/002g005t049r010p120P115b10086h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290000z4227.97N/07057.95W_325/002g003t049r010p121P116b10088h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290005z4227.97N/07057.95W_344/001g003t049r009p121P116b10088h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290010z4227.97N/07057.95W_315/001g003t049r009p122P117b10086h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290015z4227.97N/07057.95W_337/000g003t049r008p122P117b10086h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290020z4227.97N/07057.95W_304/001g003t049r008p123P118b10092h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@290025z4227.97N/07057.95W_300/002g003t049r007p123P118b10092h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290030z4227.97N/07057.95W_319/002g003t049r008p124P119b10093h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290035z4227.97N/07057.95W_304/001g003t049r008p125P120b10094h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290045z4227.97N/07057.95W_303/002g006t048r009p127P122b10095h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290050z4227.97N/07057.95W_329/001g003t048r009p128P123b10095h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290055z4227.97N/07057.95W_307/003g009t048r008p128P123b10094h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290100z4227.97N/07057.95W_325/003g009t048r009p130P125b10093h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290105z4227.97N/07057.95W_318/002g008t048r010p131P126b10092h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290110z4227.97N/07057.95W_319/002g006t048r010p132P127b10089h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290115z4227.97N/07057.95W_318/001g003t048r011p133P128b10089h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290120z4227.97N/07057.95W_323/002g009t048r010p133P128b10088h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290125z4227.97N/07057.95W_336/003g008t048r011p134P129b10087h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290130z4227.97N/07057.95W_329/002g006t048r011p135P130b10086h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@290135z4227.97N/07057.95W_302/003g010t048r011p136P131b10086h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@290140z4227.97N/07057.95W_341/002g009t047r010p136P131b10085h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@290145z4227.97N/07057.95W_335/003g008t047r010p137P132b10084h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@290150z4227.97N/07057.95W_357/003g010t047r010p138P133b10083h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290155z4227.97N/07057.95W_318/001g009t047r011p139P134b10081h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290200z4227.97N/07057.95W_355/002g006t047r011p141P136b10081h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290205z4227.97N/07057.95W_320/000g005t047r011p142P137b10082h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290210z4227.97N/07057.95W_305/002g005t047r011p143P138b10085h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290215z4227.97N/07057.95W_289/001g003t047r010p143P138b10086h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290220z4227.97N/07057.95W_336/002g005t047r011p144P139b10087h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@290225z4227.97N/07057.95W_305/001g003t047r011p145P140b10086h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290230z4227.97N/07057.95W_316/002g003t047r011p145P141b10086h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290235z4227.97N/07057.95W_308/001g003t047r011p146P142b10085h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290245z4227.97N/07057.95W_355/001g003t047r012p147P144b10082h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290250z4227.97N/07057.95W_327/001g003t047r012p147P145b10078h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290255z4227.97N/07057.95W_311/003g008t047r012p148P146b10075h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290300z4227.97N/07057.95W_305/000g003t047r010p147P146b10075h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290310z4227.97N/07057.95W_309/002g005t047r010p149P148b10074h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@290320z4227.97N/07057.95W_317/002g006t047r011p151P150b10074h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290325z4227.97N/07057.95W_319/001g008t047r011p151P151b10073h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290330z4227.97N/07057.95W_307/002g008t047r010p151P151b10073h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290335z4227.97N/07057.95W_291/002g008t047r010p152P152b10073h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290340z4227.97N/07057.95W_304/002g007t047r010p153P153b10073h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290350z4227.97N/07057.95W_336/001g008t047r009p154P154b10074h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290355z4227.97N/07057.95W_327/002g005t047r009p155P155b10074h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@290400z4227.97N/07057.95W_315/002g009t047r009p155P000b10074h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290405z4227.97N/07057.95W_322/002g010t047r009p156P001b10073h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290410z4227.97N/07057.95W_321/003g009t047r009p157P002b10070h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290415z4227.97N/07057.95W_333/004g010t047r009p158P003b10067h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290420z4227.97N/07057.95W_017/005g012t047r009p159P004b10067h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290425z4227.97N/07057.95W_335/005g012t046r010p160P005b10065h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290430z4227.97N/07057.95W_327/005g013t046r010p161P006b10064h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290435z4227.97N/07057.95W_321/005g012t046r010p162P007b10064h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290440z4227.97N/07057.95W_274/003g010t046r011p164P009b10062h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290445z4227.97N/07057.95W_323/005g010t046r012p165P010b10062h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290450z4227.97N/07057.95W_322/003g012t046r012p166P011b10063h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290505z4227.97N/07057.95W_332/006g015t045r012p168P013b10055h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290525z4227.97N/07057.95W_348/005g012t045r012p172P017b10055h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290530z4227.97N/07057.95W_315/006g014t045r011p172P017b10055h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290535z4227.97N/07057.95W_348/005g013t045r011p173P018b10054h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290540z4227.97N/07057.95W_287/004g012t045r010p174P019b10056h88.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290555z4227.97N/07057.95W_310/005g013t044r010p177P022b10053h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@290600z4227.97N/07057.95W_318/005g017t044r009p177P022b10052h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290605z4227.97N/07057.95W_299/005g012t044r010p178P023b10049h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290610z4227.97N/07057.95W_319/007g015t044r009p179P024b10046h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@290615z4227.97N/07057.95W_355/003g015t044r010p180P025b10047h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290625z4227.97N/07057.95W_329/005g014t044r008p180P025b10047h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290635z4227.97N/07057.95W_310/005g012t044r008p181P026b10046h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290640z4227.97N/07057.95W_320/005g013t044r008p182P027b10042h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290645z4227.97N/07057.95W_323/006g015t044r008p183P028b10042h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290655z4227.97N/07057.95W_302/003g010t043r008p185P030b10041h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290700z4227.97N/07057.95W_310/006g014t043r009p186P031b10039h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290705z4227.97N/07057.95W_347/003g015t043r009p187P032b10038h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290710z4227.97N/07057.95W_321/004g012t043r009p188P033b10038h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290715z4227.97N/07057.95W_317/002g012t043r009p189P034b10039h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290720z4227.97N/07057.95W_327/005g012t043r009p189P034b10041h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290730z4227.97N/07057.95W_302/003g012t043r009p190P035b10042h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290735z4227.97N/07057.95W_307/003g012t043r010p191P036b10042h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@290740z4227.97N/07057.95W_320/005g012t043r010p192P037b10042h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290745z4227.97N/07057.95W_279/005g012t043r010p193P038b10042h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290750z4227.97N/07057.95W_288/003g012t043r009p193P038b10044h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290755z4227.97N/07057.95W_301/006g012t042r009p194P039b10044h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290800z4227.97N/07057.95W_302/005g012t042r009p195P040b10046h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290805z4227.97N/07057.95W_293/005g012t042r010p196P042b10046h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290810z4227.97N/07057.95W_305/003g012t042r010p197P043b10047h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290815z4227.97N/07057.95W_263/006g013t042r010p198P044b10046h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290820z4227.97N/07057.95W_299/003g012t042r011p199P045b10045h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290825z4227.97N/07057.95W_314/001g010t042r011p199P046b10043h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290830z4227.97N/07057.95W_296/003g014t041r012p199P047b10042h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290840z4227.97N/07057.95W_340/002g009t041r012p200P049b10036h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290845z4227.97N/07057.95W_289/005g012t041r012p200P050b10036h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290855z4227.97N/07057.95W_291/004g010t041r013p201P052b10038h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290900z4227.97N/07057.95W_311/005g012t041r013p202P053b10036h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@290905z4227.97N/07057.95W_313/004g017t041r012p203P054b10034h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290910z4227.97N/07057.95W_333/005g012t041r011p202P054b10032h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290915z4227.97N/07057.95W_317/002g012t040r011p203P055b10031h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@290920z4227.97N/07057.95W_328/005g016t040r010p202P055b10030h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@290925z4227.97N/07057.95W_299/004g013t040r009p202P055b10031h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@290930z4227.97N/07057.95W_272/004g014t040r008p201P055b10030h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290935z4227.97N/07057.95W_331/003g012t040r007p200P055b10031h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@290945z4227.97N/07057.95W_302/005g013t040r005p198P055b10029h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@290950z4227.97N/07057.95W_319/003g010t040r004p197P055b10029h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@290955z4227.97N/07057.95W_319/004g012t040r003p196P055b10031h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@291000z4227.97N/07057.95W_322/003g012t040r002p195P055b10030h87.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@291005z4227.97N/07057.95W_304/005g012t040r001p194P055b10030h86.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@291010z4227.97N/07057.95W_292/004g010t040r001p193P055b10031h86.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@291015z4227.97N/07057.95W_342/006g012t040r000p192P055b10031h86.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@291020z4227.97N/07057.95W_313/004g018t040r000p192P055b10032h86.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@291030z4227.97N/07057.95W_298/005g013t040r000p191P055b10029h86.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@291035z4227.97N/07057.95W_298/007g014t039r000p190P055b10031h86.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@291040z4227.97N/07057.95W_330/004g014t039r001p189P056b10032h86.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@291045z4227.97N/07057.95W_290/007g015t039r001p189P056b10032h86.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@291055z4227.97N/07057.95W_297/005g012t039r002p188P057b10030h86.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@291100z4227.97N/07057.95W_338/005g013t039r002p186P057b10028h86.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@291110z4227.97N/07057.95W_292/008g020t039r002p183P057b10031h86.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@291115z4227.97N/07057.95W_282/006g020t039r003p184P058b10029h86.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@291120z4227.97N/07057.95W_321/005g013t039r003p182P058b10027h86.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@291125z4227.97N/07057.95W_298/008g015t039r003p181P058b10027h86.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-4:@291135z4227.97N/07057.95W_321/007g017t039r003p180P058b10025h86.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@291140z4227.97N/07057.95W_325/005g020t039r003p181P059b10023h85.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@291145z4227.97N/07057.95W_290/007g017t039r003p181P059b10022h85.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@291150z4227.97N/07057.95W_339/007g013t039r003p181P059b10022h85.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@291155z4227.97N/07057.95W_303/007g015t039r003p182P060b10020h85.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@291200z4227.97N/07057.95W_005/006g016t039r003p181P060b10022h85.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@291205z4227.97N/07057.95W_324/008g018t039r003p181P060b10021h85.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@291210z4227.97N/07057.95W_299/003g014t039r004p181P061b10021h85.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@291215z4227.97N/07057.95W_344/009g015t039r003p181P061b10023h85.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@291220z4227.97N/07057.95W_319/006g014t039r003p180P061b10022h85.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@291225z4227.97N/07057.95W_302/006g018t039r004p180P062b10022h85.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-3:@291230z4227.97N/07057.95W_311/007g020t038r004p179P062b10020h85.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-6:@291235z4227.97N/07057.95W_300/010g015t038r004p179P062b10018h85.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@291245z4227.97N/07057.95W_299/005g015t038r003p178P062b10021h85.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@291300z4227.97N/07057.95W_314/006g014t038r002p177P062b10019h85.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-5:@291310z4227.97N/07057.95W_314/003g014t038r001p177P062b10018h85.WD 31
N1EVH>APRS,TCPXX*,qAX,CWOP-7:@291315z4227.97N/07057.95W_293/009g017t038r002p177P063b10019h85.WD 31