FW3671>APRS,TCPXX*,qAX,CWOP-4:@060620z4029.96N/11150.62W_224/000g003t035r000p031P000h91b10084L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@060625z4029.96N/11150.62W_224/002g003t035r000p031P000h92b10085L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@060630z4029.96N/11150.62W_224/000g003t035r000p031P000h92b10085L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@060635z4029.96N/11150.62W_224/000g002t035r000p031P000h92b10085L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@060640z4029.96N/11150.62W_224/000g002t035r000p031P000h92b10085L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@060645z4029.96N/11150.62W_224/000g002t035r000p031P000h92b10083L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@060650z4029.96N/11150.62W_224/000g002t035r000p031P000h92b10083L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@060655z4029.96N/11150.62W_224/000g002t035r000p031P000h92b10085L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@060700z4029.96N/11150.62W_224/000g002t035r000p031P000h93b10086L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@060705z4029.96N/11150.62W_224/000g002t035r000p031P000h93b10084L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@060710z4029.96N/11150.62W_224/001g001t035r000p031P000h93b10085L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@060715z4029.96N/11150.62W_224/001g002t035r000p031P000h93b10085L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@060720z4029.96N/11150.62W_224/002g003t035r000p031P000h93b10086L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@060725z4029.96N/11150.62W_215/002g004t035r000p031P000h93b10088L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@060730z4029.96N/11150.62W_211/003g005t035r000p031P000h93b10088L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@060735z4029.96N/11150.62W_211/001g005t035r000p031P000h93b10088L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@060740z4029.96N/11150.62W_211/002g004t035r000p031P000h93b10088L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@060745z4029.96N/11150.62W_196/002g003t035r000p031P000h93b10089L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@060750z4029.96N/11150.62W_196/001g004t035r000p031P000h93b10091L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@060805z4029.96N/11150.62W_192/000g001t035r000p031P000h93b10093L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@060810z4029.96N/11150.62W_166/002g003t035r000p031P000h93b10094L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@060815z4029.96N/11150.62W_166/002g003t035r000p031P000h93b10095L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@060820z4029.96N/11150.62W_166/000g002t035r000p031P000h92b10096L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@060825z4029.96N/11150.62W_166/000g002t035r001p032P001h92b10097L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@060830z4029.96N/11150.62W_319/000g002t035r001p032P001h92b10098L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@060835z4029.96N/11150.62W_319/000g002t035r001p032P001h92b10099L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@060840z4029.96N/11150.62W_088/002g003t034r001p032P001h92b10100L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@060845z4029.96N/11150.62W_088/000g003t034r002p033P002h92b10101L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@060855z4029.96N/11150.62W_017/000g002t034r002p033P002h92b10102L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@060900z4029.96N/11150.62W_107/003g003t033r002p033P002h92b10101L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@060905z4029.96N/11150.62W_129/003g004t033r002p033P002h92b10102L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@060910z4029.96N/11150.62W_129/003g004t033r002p033P002h92b10102L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@060915z4029.96N/11150.62W_129/002g004t033r002p033P002h92b10104L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@060920z4029.96N/11150.62W_129/001g003t033r002p033P002h92b10105L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@060925z4029.96N/11150.62W_129/001g003t033r001p033P002h93b10106L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@060930z4029.96N/11150.62W_129/003g004t033r001p033P002h93b10106L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@060940z4029.96N/11150.62W_134/004g006t033r002p034P003h93b10107L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@060945z4029.96N/11150.62W_105/004g007t033r001p034P003h93b10106L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@060950z4029.96N/11150.62W_105/003g008t033r002p035P004h93b10106L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@060955z4029.96N/11150.62W_036/003g008t033r002p035P004h93b10107L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061000z4029.96N/11150.62W_059/003g005t033r002p035P004h93b10108L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061005z4029.96N/11150.62W_040/003g006t034r002p035P004h93b10108L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@061010z4029.96N/11150.62W_070/003g006t034r003p036P005h93b10109L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061025z4029.96N/11150.62W_088/002g004t034r004p037P006h93b10110L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@061030z4029.96N/11150.62W_128/003g004t033r004p037P006h93b10111L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@061040z4029.96N/11150.62W_103/002g004t033r003p037P006h93b10112L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061045z4029.96N/11150.62W_117/004g007t033r003p037P006h93b10114L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061050z4029.96N/11150.62W_166/003g007t033r002p037P006h93b10115L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061055z4029.96N/11150.62W_124/003g005t033r003p038P007h93b10116L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061100z4029.96N/11150.62W_117/006g008t033r003p038P007h93b10115L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@061105z4029.96N/11150.62W_113/006g008t033r003p038P007h93b10116L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@061110z4029.96N/11150.62W_150/004g008t033r002p038P007h93b10116L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061125z4029.96N/11150.62W_136/005g008t033r002p039P008h94b10117L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@061130z4029.96N/11150.62W_100/005g007t034r002p039P008h94b10117L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@061135z4029.96N/11150.62W_119/008g010t034r003p040P009h94b10116L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061140z4029.96N/11150.62W_107/006g010t034r003p040P009h93b10116L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061145z4029.96N/11150.62W_146/007g010t034r003p040P009h93b10116L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061150z4029.96N/11150.62W_124/006g010t034r002p040P009h93b10116L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061200z4029.96N/11150.62W_129/006g009t034r002p040P009h93b10117L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061205z4029.96N/11150.62W_122/004g009t034r002p040P009h93b10118L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061215z4029.96N/11150.62W_136/003g008t034r002p041P010h93b10119L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@061220z4029.96N/11150.62W_139/005g008t034r002p041P010h93b10119L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@061225z4029.96N/11150.62W_128/004g007t034r002p041P010h93b10119L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061230z4029.96N/11150.62W_127/001g007t034r002p041P010h94b10120L005.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@061235z4029.96N/11150.62W_156/002g005t034r001p041P010h94b10120L005.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061240z4029.96N/11150.62W_158/004g006t034r001p041P010h94b10121L009.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061245z4029.96N/11150.62W_128/005g008t034r001p041P010h93b10121L012.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061250z4029.96N/11150.62W_124/003g008t034r001p041P010h93b10122L014.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061255z4029.96N/11150.62W_093/006g008t034r002p042P011h93b10123L016.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061300z4029.96N/11150.62W_105/007g010t034r002p042P011h93b10122L016.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@061305z4029.96N/11150.62W_114/005g010t034r002p042P011h93b10122L018.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@061315z4029.96N/11150.62W_098/007g010t034r001p042P011h93b10124L025.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061320z4029.96N/11150.62W_128/007g010t034r001p042P011h93b10124L026.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061330z4029.96N/11150.62W_108/005g011t034r002p043P012h93b10124L016.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@061345z4029.96N/11150.62W_101/007g009t034r002p043P012h93b10127L023.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061350z4029.96N/11150.62W_127/007g009t034r001p043P012h93b10128L033.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@061355z4029.96N/11150.62W_113/007g009t034r001p043P012h94b10128L033.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061400z4029.96N/11150.62W_122/006g009t034r001p043P012h94b10128L030.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061405z4029.96N/11150.62W_104/006g009t034r002p044P013h93b10130L032.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@061410z4029.96N/11150.62W_120/005g009t034r002p044P013h94b10129L040.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061415z4029.96N/11150.62W_108/007g010t034r002p044P013h94b10129L067.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061420z4029.96N/11150.62W_126/006g010t034r002p044P013h94b10129L097.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@061425z4029.96N/11150.62W_145/005g010t035r001p044P013h94b10129L090.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061430z4029.96N/11150.62W_164/007g010t035r002p045P014h94b10129L076.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061435z4029.96N/11150.62W_150/006g009t035r002p045P014h94b10131L072.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061445z4029.96N/11150.62W_135/003g011t035r002p045P014h94b10132L081.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061450z4029.96N/11150.62W_132/004g009t035r002p045P014h94b10133L076.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061455z4029.96N/11150.62W_108/005g009t035r002p045P014h94b10134L081.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061500z4029.96N/11150.62W_122/005g009t035r001p045P014h94b10134L083.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@061505z4029.96N/11150.62W_117/002g009t035r001p045P014h94b10135L077.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061510z4029.96N/11150.62W_142/003g008t035r001p045P014h94b10136L083.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061515z4029.96N/11150.62W_136/004g008t035r001p045P014h94b10137L088.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061520z4029.96N/11150.62W_128/004g007t036r001p045P014h94b10138L086.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061525z4029.96N/11150.62W_090/005g007t036r001p045P014h94b10139L088.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061530z4029.96N/11150.62W_094/006g008t035r000p045P014h93b10140L084.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061550z4029.96N/11150.62W_118/006g009t035r000p045P014h93b10140L111.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061555z4029.96N/11150.62W_120/007g009t035r001p046P015h93b10141L111.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061600z4029.96N/11150.62W_117/005g008t035r001p046P015h93b10141L121.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061605z4029.96N/11150.62W_137/006g008t035r001p046P015h93b10141L114.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061610z4029.96N/11150.62W_129/006g009t035r001p046P015h93b10142L118.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061615z4029.96N/11150.62W_121/008g011t035r002p047P016h93b10142L127.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@061620z4029.96N/11150.62W_109/008g011t035r002p047P016h93b10141L139.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@061625z4029.96N/11150.62W_111/008g011t035r002p047P016h94b10141L151.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061630z4029.96N/11150.62W_117/009g011t035r002p047P016h94b10142L148.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061635z4029.96N/11150.62W_119/006g011t035r002p047P016h94b10143L156.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061640z4029.96N/11150.62W_132/004g010t036r002p047P016h94b10143L167.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061645z4029.96N/11150.62W_101/005g008t036r002p047P016h94b10143L162.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061655z4029.96N/11150.62W_145/006g010t036r001p047P016h94b10143L169.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@061705z4029.96N/11150.62W_116/007g012t037r001p047P016h94b10142L192.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061715z4029.96N/11150.62W_135/007g011t037r000p047P016h94b10144L186.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061720z4029.96N/11150.62W_155/009g013t037r000p047P016h93b10143L197.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061725z4029.96N/11150.62W_161/007g013t037r000p047P016h93b10144L223.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061735z4029.96N/11150.62W_107/006g011t037r000p047P016h94b10144L214.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061740z4029.96N/11150.62W_121/005g011t038r000p047P016h93b10144L236.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@061745z4029.96N/11150.62W_132/003g011t038r000p047P016h93b10144L255.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061750z4029.96N/11150.62W_158/006g010t038r000p047P016h93b10145L230.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061805z4029.96N/11150.62W_148/008g013t039r000p044P016h93b10145L274.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061810z4029.96N/11150.62W_163/009g013t039r000p044P016h92b10144L445.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@061820z4029.96N/11150.62W_102/004g013t039r000p044P016h91b10144L320.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@061825z4029.96N/11150.62W_088/008g011t039r000p044P016h89b10144L404.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@061830z4029.96N/11150.62W_069/006g012t039r001p045P017h88b10143L434.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@061835z4029.96N/11150.62W_075/007g012t040r001p045P017h88b10141L594.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061850z4029.96N/11150.62W_109/009g014t041r001p045P017h86b10140L471.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@061855z4029.96N/11150.62W_092/007g014t041r001p045P017h85b10140L468.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061900z4029.96N/11150.62W_094/007g011t042r001p041P017h86b10140L555.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@061905z4029.96N/11150.62W_097/007g015t043r001p041P017h85b10139L696.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061910z4029.96N/11150.62W_094/008g015t043r001p041P017h84b10140L471.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@061915z4029.96N/11150.62W_132/006g012t043r001p041P017h81b10140L411.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061920z4029.96N/11150.62W_106/007g013t043r001p041P017h81b10140L385.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061925z4029.96N/11150.62W_102/009g013t043r001p041P017h80b10140L346.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@061930z4029.96N/11150.62W_102/009g014t043r000p041P017h80b10139L309.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061940z4029.96N/11150.62W_126/011g016t042r000p041P017h80b10140L543.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@061945z4029.96N/11150.62W_111/009g016t043r000p041P017h81b10141L499.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@061955z4029.96N/11150.62W_113/009g014t043r000p041P017h78b10141L262.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@062000z4029.96N/11150.62W_153/008g014t043r000p033P017h79b10141L290.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@062010z4029.96N/11150.62W_317/001g013t043r000p033P017h74b10141L179.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@062015z4029.96N/11150.62W_184/003g006t043r000p033P017h73b10141L216.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@062020z4029.96N/11150.62W_284/009g012t043r000p033P017h70b10141L192.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@062025z4029.96N/11150.62W_355/005g012t043r000p033P017h69b10142L227.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@062030z4029.96N/11150.62W_355/003g008t043r000p033P017h69b10142L257.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@062035z4029.96N/11150.62W_313/005g009t044r000p033P017h69b10143L206.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@062040z4029.96N/11150.62W_026/003g009t044r000p033P017h67b10142L237.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@062045z4029.96N/11150.62W_333/001g009t044r000p033P017h67b10143L288.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@062050z4029.96N/11150.62W_346/003g008t044r000p033P017h66b10143L274.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@062055z4029.96N/11150.62W_005/004g009t044r000p033P017h66b10143L260.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@062105z4029.96N/11150.62W_013/002g008t045r000p029P017h66b10142L297.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@062110z4029.96N/11150.62W_321/005g010t045r000p029P017h63b10144L299.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@062115z4029.96N/11150.62W_333/006g012t045r000p029P017h61b10142L200.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@062120z4029.96N/11150.62W_307/005g012t045r000p029P017h60b10143L188.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@062125z4029.96N/11150.62W_042/006g011t045r000p029P017h62b10143L172.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@062135z4029.96N/11150.62W_299/002g012t044r000p029P017h61b10142L174.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@062140z4029.96N/11150.62W_014/004g009t045r000p029P017h63b10141L489.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@062145z4029.96N/11150.62W_329/005g011t045r000p029P017h61b10142L302.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@062150z4029.96N/11150.62W_348/002g011t045r000p029P017h62b10141L320.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@062155z4029.96N/11150.62W_011/004g009t045r000p029P017h59b10141L431.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@062205z4029.96N/11150.62W_331/006g012t046r000p023P017h58b10138L352.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@062210z4029.96N/11150.62W_024/005g009t047r000p023P017h58b10138L352.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@062215z4029.96N/11150.62W_251/006g012t048r000p023P017h54b10137L965.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@062220z4029.96N/11150.62W_048/005g014t049r000p023P017h58b10138L817.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@062225z4029.96N/11150.62W_016/009g015t049r000p023P017h55b10138L784.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@062230z4029.96N/11150.62W_330/006g015t050r000p023P017h55b10138L765.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@062240z4029.96N/11150.62W_292/003g012t050r000p023P017h51b10138L696.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@062245z4029.96N/11150.62W_013/003g012t050r000p023P017h52b10138L673.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@062250z4029.96N/11150.62W_019/006g011t050r000p023P017h52b10138L636.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@062255z4029.96N/11150.62W_044/008g015t051r000p023P017h48b10138L592.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@062300z4029.96N/11150.62W_360/008g016t050r000p020P017h50b10137L552.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@062305z4029.96N/11150.62W_042/007g016t050r000p020P017h49b10137L459.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@062310z4029.96N/11150.62W_344/009g016t050r000p020P017h49b10136L225.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@062315z4029.96N/11150.62W_350/003g015t050r000p020P017h51b10136L543.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@062320z4029.96N/11150.62W_336/002g015t050r000p020P017h48b10136L260.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@062325z4029.96N/11150.62W_034/004g011t050r000p020P017h48b10136L239.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@062330z4029.96N/11150.62W_323/007g012t050r000p020P017h49b10136L207.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@062335z4029.96N/11150.62W_317/003g012t049r000p020P017h49b10136L202.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@062340z4029.96N/11150.62W_284/003g009t049r000p020P017h48b10136L239.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@062345z4029.96N/11150.62W_005/003g009t049r000p020P017h49b10136L172.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@062350z4029.96N/11150.62W_339/002g009t049r000p020P017h50b10135L188.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@062355z4029.96N/11150.62W_015/001g005t049r000p020P017h51b10136L227.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@070010z4029.96N/11150.62W_355/000g005t049r000p018P017h52b10135L132.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@070015z4029.96N/11150.62W_355/000g002t049r000p018P017h51b10135L193.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@070020z4029.96N/11150.62W_355/001g003t049r000p018P017h50b10133L185.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070025z4029.96N/11150.62W_215/002g003t049r000p018P017h49b10132L169.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@070030z4029.96N/11150.62W_205/002g003t049r000p018P017h53b10131L160.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070035z4029.96N/11150.62W_269/002g004t049r000p018P017h48b10132L144.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070040z4029.96N/11150.62W_178/002g004t049r000p018P017h51b10132L142.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@070045z4029.96N/11150.62W_234/002g004t049r000p018P017h49b10131L141.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@070050z4029.96N/11150.62W_234/000g004t049r000p018P017h52b10130L134.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070055z4029.96N/11150.62W_213/002g003t049r000p018P017h51b10129L155.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@070105z4029.96N/11150.62W_259/002g004t049r000p017P017h49b10129L125.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070115z4029.96N/11150.62W_244/001g003t049r000p017P017h49b10129L102.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@070120z4029.96N/11150.62W_222/002g004t049r000p017P017h48b10129L095.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@070125z4029.96N/11150.62W_200/001g004t049r000p017P017h52b10130L098.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@070130z4029.96N/11150.62W_157/001g005t049r000p017P017h55b10129L084.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@070135z4029.96N/11150.62W_157/001g005t049r000p017P017h53b10128L077.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@070140z4029.96N/11150.62W_136/002g004t049r000p017P017h52b10127L053.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@070145z4029.96N/11150.62W_151/003g005t049r000p017P017h53b10127L047.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@070155z4029.96N/11150.62W_117/002g004t048r000p017P017h56b10128L054.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070200z4029.96N/11150.62W_092/002g004t048r000p017P017h56b10127L039.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@070210z4029.96N/11150.62W_122/005g008t047r000p017P017h58b10127L018.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@070215z4029.96N/11150.62W_113/005g008t047r000p017P017h58b10127L014.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@070225z4029.96N/11150.62W_119/004g008t046r000p017P017h60b10126L005.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@070230z4029.96N/11150.62W_149/008g011t046r000p017P017h60b10126L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070235z4029.96N/11150.62W_121/007g011t045r000p017P017h62b10126L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@070240z4029.96N/11150.62W_155/005g010t045r000p017P017h62b10126L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070245z4029.96N/11150.62W_148/008g012t045r000p017P017h62b10125L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@070250z4029.96N/11150.62W_168/008g012t045r000p017P017h62b10125L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@070255z4029.96N/11150.62W_123/008g012t044r000p017P017h63b10126L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070300z4029.96N/11150.62W_103/004g010t044r000p017P017h62b10125L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@070310z4029.96N/11150.62W_132/007g012t044r000p017P017h62b10124L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070315z4029.96N/11150.62W_169/006g012t044r000p017P017h63b10125L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@070320z4029.96N/11150.62W_148/008g013t044r000p017P017h64b10125L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@070325z4029.96N/11150.62W_186/008g015t044r000p017P017h66b10125L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070330z4029.96N/11150.62W_147/010g015t044r000p017P017h67b10124L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@070340z4029.96N/11150.62W_130/006g013t044r000p017P017h68b10123L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@070345z4029.96N/11150.62W_134/006g010t044r000p017P017h68b10124L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070350z4029.96N/11150.62W_148/007g011t044r000p017P017h68b10124L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@070355z4029.96N/11150.62W_169/008g011t044r000p017P017h67b10125L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@070400z4029.96N/11150.62W_209/006g011t044r000p017P017h67b10125L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@070410z4029.96N/11150.62W_238/006g012t045r000p017P017h62b10126L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@070415z4029.96N/11150.62W_265/004g012t046r000p017P017h62b10126L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@070420z4029.96N/11150.62W_295/002g009t046r000p017P017h61b10127L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@070425z4029.96N/11150.62W_355/000g005t046r000p017P017h61b10126L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@070430z4029.96N/11150.62W_107/004g005t046r000p017P017h64b10124L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@070435z4029.96N/11150.62W_137/003g007t045r000p017P017h64b10123L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@070440z4029.96N/11150.62W_151/004g007t045r000p017P017h65b10123L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@070445z4029.96N/11150.62W_147/006g008t045r000p017P017h65b10122L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070450z4029.96N/11150.62W_153/005g009t044r000p017P017h66b10121L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@070455z4029.96N/11150.62W_138/005g009t044r000p017P017h66b10121L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-5:@070500z4029.96N/11150.62W_132/006g010t044r000p017P017h65b10120L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@070505z4029.96N/11150.62W_124/006g010t043r000p017P017h65b10121L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070510z4029.96N/11150.62W_149/007g009t043r000p017P017h66b10120L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070515z4029.96N/11150.62W_151/007g009t043r000p017P017h66b10120L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@070520z4029.96N/11150.62W_124/006g010t043r000p017P017h66b10119L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@070525z4029.96N/11150.62W_130/008g010t042r000p017P017h66b10118L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@070530z4029.96N/11150.62W_155/006g010t042r000p017P017h66b10119L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-3:@070535z4029.96N/11150.62W_132/007g009t042r000p017P017h67b10117L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@070540z4029.96N/11150.62W_119/008g010t042r000p017P017h67b10117L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070545z4029.96N/11150.62W_132/008g010t042r000p017P017h67b10116L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@070550z4029.96N/11150.62W_130/008g010t042r000p017P017h68b10116L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-4:@070555z4029.96N/11150.62W_131/008g010t042r000p017P017h68b10115L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070605z4029.96N/11150.62W_130/008g010t041r000p017P000h68b10115L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-7:@070610z4029.96N/11150.62W_144/006g010t041r000p017P000h68b10115L000.WFL
FW3671>APRS,TCPXX*,qAX,CWOP-6:@070615z4029.96N/11150.62W_127/005g009t041r000p017P000h67b10114L000.WFL