DW5765>APRS,TCPXX*,qAX,CWOP-3:@230602z6103.33N/14945.88W_178/000g003t040r000p000P000h58b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@230607z6103.33N/14945.88W_181/000g003t041r000p000P000h59b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@230617z6103.33N/14945.88W_181/002g003t041r000p000P000h57b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@230622z6103.33N/14945.88W_179/002g003t041r000p000P000h57b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@230627z6103.33N/14945.88W_181/000g005t041r000p000P000h58b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@230637z6103.33N/14945.88W_178/003g005t041r000p000P000h58b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@230642z6103.33N/14945.88W_181/002g005t040r000p000P000h58b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@230647z6103.33N/14945.88W_180/000g003t040r000p000P000h58b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@230652z6103.33N/14945.88W_182/000g002t040r000p000P000h58b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@230657z6103.33N/14945.88W_180/000g000t040r000p000P000h58b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@230702z6103.33N/14945.88W_181/000g000t040r000p000P000h59b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@230707z6103.33N/14945.88W_179/000g000t040r000p000P000h59b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@230717z6103.33N/14945.88W_181/000g000t040r000p000P000h60b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@230727z6103.33N/14945.88W_181/000g000t040r000p000P000h62b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@230732z6103.33N/14945.88W_182/000g000t040r000p000P000h62b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@230747z6103.33N/14945.88W_181/000g000t040r000p000P000h64b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@230752z6103.33N/14945.88W_178/000g000t040r000p000P000h64b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@230757z6103.33N/14945.88W_179/000g000t040r000p000P000h64b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@230802z6103.33N/14945.88W_179/002g006t040r000p000P000h65b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@230807z6103.33N/14945.88W_179/006g007t039r000p000P000h65b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@230812z6103.33N/14945.88W_178/004g007t039r000p000P000h66b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@230817z6103.33N/14945.88W_181/003g007t039r000p000P000h67b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@230822z6103.33N/14945.88W_180/001g006t039r000p000P000h67b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@230827z6103.33N/14945.88W_179/000g003t039r000p000P000h68b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@230832z6103.33N/14945.88W_181/001g002t039r000p000P000h69b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@230842z6103.33N/14945.88W_180/003g007t039r000p000P000h70b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@230847z6103.33N/14945.88W_181/003g006t039r000p000P000h71b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@230902z6103.33N/14945.88W_177/001g006t039r000p000P000h69b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@230907z6103.33N/14945.88W_177/002g003t039r000p000P000h69b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@230912z6103.33N/14945.88W_177/003g005t039r000p000P000h70b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@230917z6103.33N/14945.88W_178/001g005t039r000p000P000h71b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@230922z6103.33N/14945.88W_178/004g006t039r000p000P000h71b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@230932z6103.33N/14945.88W_179/002g005t038r000p000P000h71b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@230942z6103.33N/14945.88W_179/004g007t038r000p000P000h72b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@230947z6103.33N/14945.88W_179/005g006t038r000p000P000h72b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@230952z6103.33N/14945.88W_179/005g006t038r000p000P000h72b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@230957z6103.33N/14945.88W_179/004g006t038r000p000P000h72b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231002z6103.33N/14945.88W_179/002g006t038r000p000P000h73b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231007z6103.33N/14945.88W_177/004g006t038r000p000P000h73b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231017z6103.33N/14945.88W_179/005g006t037r000p000P000h73b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231022z6103.33N/14945.88W_178/002g006t037r000p000P000h73b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231027z6103.33N/14945.88W_179/001g005t038r000p000P000h73b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231037z6103.33N/14945.88W_178/005g007t038r000p000P000h72b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231042z6103.33N/14945.88W_179/002g007t038r000p000P000h72b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231047z6103.33N/14945.88W_178/001g005t038r000p000P000h73b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231052z6103.33N/14945.88W_177/001g003t038r000p000P000h73b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231102z6103.33N/14945.88W_177/004g006t038r000p000P000h73b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231112z6103.33N/14945.88W_177/003g005t037r000p000P000h73b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231117z6103.33N/14945.88W_177/002g005t037r000p000P000h74b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231122z6103.33N/14945.88W_177/002g005t037r000p000P000h75b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231132z6103.33N/14945.88W_179/003g005t037r000p000P000h75b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231137z6103.33N/14945.88W_179/003g005t037r000p000P000h75b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231142z6103.33N/14945.88W_176/003g005t037r000p000P000h76b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231147z6103.33N/14945.88W_177/002g005t037r000p000P000h76b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231202z6103.33N/14945.88W_179/000g005t037r000p000P000h75b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231207z6103.33N/14945.88W_178/000g003t038r000p000P000h75b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231212z6103.33N/14945.88W_178/000g000t038r000p000P000h75b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231217z6103.33N/14945.88W_175/003g003t038r000p000P000h74b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231222z6103.33N/14945.88W_177/003g003t037r000p000P000h75b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231227z6103.33N/14945.88W_176/004g006t037r000p000P000h76b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231232z6103.33N/14945.88W_179/003g006t037r000p000P000h76b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231242z6103.33N/14945.88W_178/006g007t036r000p000P000h77b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231252z6103.33N/14945.88W_176/005g007t036r000p000P000h77b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231257z6103.33N/14945.88W_177/002g007t036r000p000P000h77b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231302z6103.33N/14945.88W_179/004g006t036r000p000P000h77b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231307z6103.33N/14945.88W_177/003g005t036r000p000P000h77b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231312z6103.33N/14945.88W_175/003g005t036r000p000P000h77b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231317z6103.33N/14945.88W_177/000g005t036r000p000P000h77b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231332z6103.33N/14945.88W_177/003g005t036r000p000P000h76b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231337z6103.33N/14945.88W_176/005g005t036r000p000P000h77b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231342z6103.33N/14945.88W_177/005g006t036r000p000P000h78b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231347z6103.33N/14945.88W_176/004g006t036r000p000P000h78b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231352z6103.33N/14945.88W_177/004g006t036r000p000P000h77b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231357z6103.33N/14945.88W_175/006g007t036r000p000P000h77b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231407z6103.33N/14945.88W_175/005g008t035r000p000P000h79b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231412z6103.33N/14945.88W_176/006g007t034r000p000P000h79b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231417z6103.33N/14945.88W_177/007g007t034r000p000P000h80b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231422z6103.33N/14945.88W_176/007g008t034r000p000P000h80b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231427z6103.33N/14945.88W_171/007g008t034r000p000P000h80b00000L005.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231432z6103.33N/14945.88W_171/007g008t035r000p000P000h80b00000L007.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231437z6103.33N/14945.88W_168/006g009t035r000p000P000h79b00000L009.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231442z6103.33N/14945.88W_169/007g008t035r000p000P000h78b00000L012.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231447z6103.33N/14945.88W_169/006g008t035r000p000P000h78b00000L014.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231452z6103.33N/14945.88W_169/006g007t035r000p000P000h78b00000L016.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231457z6103.33N/14945.88W_168/006g007t035r000p000P000h77b00000L019.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231502z6103.33N/14945.88W_167/006g007t035r000p000P000h77b00000L023.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231507z6103.33N/14945.88W_169/006g007t035r000p000P000h76b00000L026.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231512z6103.33N/14945.88W_167/006g007t035r000p000P000h76b00000L032.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231517z6103.33N/14945.88W_168/005g007t035r000p000P000h76b00000L037.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231522z6103.33N/14945.88W_166/005g007t036r000p000P000h75b00000L042.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231527z6103.33N/14945.88W_169/004g007t036r000p000P000h74b00000L047.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231532z6103.33N/14945.88W_168/002g005t037r000p000P000h73b00000L053.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231537z6103.33N/14945.88W_165/001g004t037r000p000P000h72b00000L060.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231542z6103.33N/14945.88W_169/000g003t037r000p000P000h73b00000L065.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231547z6103.33N/14945.88W_165/002g005t037r000p000P000h73b00000L072.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231552z6103.33N/14945.88W_167/001g005t037r000p000P000h74b00000L077.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231602z6103.33N/14945.88W_166/000g000t037r000p000P000h74b00000L086.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231607z6103.33N/14945.88W_168/000g000t037r000p000P000h74b00000L091.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231612z6103.33N/14945.88W_167/000g000t037r000p000P000h74b00000L127.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231617z6103.33N/14945.88W_168/000g000t038r000p000P000h74b00000L141.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231622z6103.33N/14945.88W_165/000g000t038r000p000P000h74b00000L127.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231627z6103.33N/14945.88W_167/000g000t038r000p000P000h73b00000L130.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231632z6103.33N/14945.88W_166/000g000t038r000p000P000h74b00000L127.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231642z6103.33N/14945.88W_168/000g000t038r000p000P000h75b00000L123.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231647z6103.33N/14945.88W_170/000g000t037r000p000P000h76b00000L134.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231652z6103.33N/14945.88W_166/000g000t037r000p000P000h76b00000L148.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231702z6103.33N/14945.88W_166/000g000t038r000p000P000h76b00000L221.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231707z6103.33N/14945.88W_167/000g000t038r000p000P000h77b00000L272.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231712z6103.33N/14945.88W_166/000g000t038r000p000P000h77b00000L269.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231717z6103.33N/14945.88W_170/000g000t038r000p000P000h76b00000L292.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231722z6103.33N/14945.88W_165/000g000t038r000p000P000h76b00000L297.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231732z6103.33N/14945.88W_168/000g003t038r000p000P000h75b00000L301.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231737z6103.33N/14945.88W_167/001g002t039r000p000P000h74b00000L348.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231742z6103.33N/14945.88W_169/000g002t039r000p000P000h73b00000L373.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231752z6103.33N/14945.88W_169/002g005t039r000p000P000h74b00000L383.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231757z6103.33N/14945.88W_169/001g005t039r000p000P000h74b00000L385.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231802z6103.33N/14945.88W_167/000g003t039r000p000P000h73b00000L401.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231807z6103.33N/14945.88W_170/001g003t040r000p000P000h73b00000L415.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231812z6103.33N/14945.88W_172/001g003t040r000p000P000h72b00000L427.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231822z6103.33N/14945.88W_170/001g003t040r000p000P000h71b00000L446.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231827z6103.33N/14945.88W_169/002g003t040r000p000P000h71b00000L455.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231832z6103.33N/14945.88W_171/002g003t041r000p000P000h73b00000L464.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@231842z6103.33N/14945.88W_227/003g005t040r000p000P000h77b00000L480.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231847z6103.33N/14945.88W_227/003g005t040r000p000P000h78b00000L489.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231852z6103.33N/14945.88W_228/001g005t040r000p000P000h78b00000L499.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231857z6103.33N/14945.88W_227/002g004t040r000p000P000h79b00000L510.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231902z6103.33N/14945.88W_227/003g005t040r000p000P000h78b00000L517.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231907z6103.33N/14945.88W_226/002g005t040r000p000P000h78b00000L526.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@231917z6103.33N/14945.88W_227/002g005t040r000p000P000h77b00000L545.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231922z6103.33N/14945.88W_227/002g004t041r000p000P000h76b00000L552.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231927z6103.33N/14945.88W_228/002g004t041r000p000P000h75b00000L561.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@231932z6103.33N/14945.88W_228/002g004t041r000p000P000h73b00000L568.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231942z6103.33N/14945.88W_235/000g005t041r000p000P000h72b00000L582.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@231947z6103.33N/14945.88W_236/003g006t042r000p000P000h72b00000L589.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@231952z6103.33N/14945.88W_240/002g006t042r000p000P000h72b00000L594.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@232002z6103.33N/14945.88W_268/005g006t042r000p000P000h71b00000L606.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@232007z6103.33N/14945.88W_263/004g007t042r000p000P000h72b00000L613.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@232012z6103.33N/14945.88W_256/004g007t042r000p000P000h72b00000L619.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@232017z6103.33N/14945.88W_243/005g008t042r000p000P000h72b00000L628.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@232022z6103.33N/14945.88W_259/004g008t042r000p000P000h73b00000L633.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@232027z6103.33N/14945.88W_247/005g007t042r000p000P000h73b00000L635.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@232032z6103.33N/14945.88W_268/005g008t042r000p000P000h72b00000L640.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@232037z6103.33N/14945.88W_242/005g008t042r000p000P000h73b00000L645.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@232047z6103.33N/14945.88W_246/004g007t042r000p000P000h72b00000L656.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@232052z6103.33N/14945.88W_251/001g007t042r000p000P000h72b00000L661.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@232057z6103.33N/14945.88W_250/004g006t042r000p000P000h72b00000L664.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@232102z6103.33N/14945.88W_246/003g006t043r000p000P000h72b00000L664.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@232107z6103.33N/14945.88W_266/003g006t043r000p000P000h71b00000L671.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@232112z6103.33N/14945.88W_266/002g005t043r000p000P000h71b00000L670.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@232117z6103.33N/14945.88W_254/002g006t043r000p000P000h71b00000L671.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@232127z6103.33N/14945.88W_262/002g008t044r000p000P000h69b00000L680.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@232132z6103.33N/14945.88W_279/001g007t044r000p000P000h69b00000L684.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@232137z6103.33N/14945.88W_249/004g006t044r000p000P000h67b00000L687.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@232142z6103.33N/14945.88W_239/001g008t044r000p000P000h68b00000L691.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@232147z6103.33N/14945.88W_259/006g009t044r000p000P000h67b00000L696.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@232152z6103.33N/14945.88W_233/002g009t044r000p000P000h67b00000L689.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@232157z6103.33N/14945.88W_262/003g007t045r000p000P000h67b00000L687.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@232202z6103.33N/14945.88W_281/005g007t045r000p000P000h65b00000L691.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@232212z6103.33N/14945.88W_222/002g006t045r000p000P000h65b00000L694.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@232222z6103.33N/14945.88W_225/003g007t046r000p000P000h64b00000L703.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@232227z6103.33N/14945.88W_257/005g008t046r000p000P000h63b00000L714.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@232232z6103.33N/14945.88W_269/005g009t046r000p000P000h64b00000L717.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@232237z6103.33N/14945.88W_254/005g009t045r000p000P000h65b00000L700.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@232242z6103.33N/14945.88W_231/004g009t046r000p000P000h65b00000L698.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@232247z6103.33N/14945.88W_238/004g008t046r000p000P000h64b00000L707.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@232252z6103.33N/14945.88W_246/006g009t046r000p000P000h64b00000L745.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@232257z6103.33N/14945.88W_270/005g009t046r000p000P000h64b00000L768.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@232302z6103.33N/14945.88W_265/003g008t046r000p000P000h64b00000L745.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@232307z6103.33N/14945.88W_258/006g008t046r000p000P000h64b00000L749.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@232312z6103.33N/14945.88W_240/001g008t046r000p000P000h63b00000L728.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@232317z6103.33N/14945.88W_239/004g007t047r000p000P000h62b00000L745.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@232322z6103.33N/14945.88W_234/006g009t047r000p000P000h62b00000L777.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@232332z6103.33N/14945.88W_259/004g007t048r000p000P000h61b00000L726.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@232337z6103.33N/14945.88W_235/004g007t048r000p000P000h61b00000L710.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@232342z6103.33N/14945.88W_235/003g007t048r000p000P000h60b00000L719.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@232347z6103.33N/14945.88W_246/002g007t048r000p000P000h60b00000L705.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@232352z6103.33N/14945.88W_231/003g007t048r000p000P000h60b00000L701.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@232357z6103.33N/14945.88W_230/002g007t048r000p000P000h60b00000L687.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@240002z6103.33N/14945.88W_225/004g006t049r000p000P000h59b00000L679.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@240007z6103.33N/14945.88W_247/003g008t048r000p000P000h59b00000L693.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@240017z6103.33N/14945.88W_229/004g011t048r000p000P000h60b00000L689.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@240022z6103.33N/14945.88W_240/003g008t048r000p000P000h60b00000L675.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@240027z6103.33N/14945.88W_251/006g009t048r000p000P000h62b00000L617.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@240032z6103.33N/14945.88W_263/005g009t047r000p000P000h61b00000L603.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@240037z6103.33N/14945.88W_297/004g009t047r000p000P000h61b00000L587.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@240042z6103.33N/14945.88W_244/002g007t047r000p000P000h62b00000L575.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@240047z6103.33N/14945.88W_246/004g007t048r000p000P000h62b00000L575.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@240102z6103.33N/14945.88W_237/005g010t048r000p000P000h62b00000L554.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@240107z6103.33N/14945.88W_238/005g009t048r000p000P000h61b00000L547.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@240117z6103.33N/14945.88W_247/006g010t047r000p000P000h60b00000L538.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@240122z6103.33N/14945.88W_253/003g009t048r000p000P000h59b00000L536.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@240127z6103.33N/14945.88W_257/002g007t048r000p000P000h60b00000L531.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@240132z6103.33N/14945.88W_242/001g007t048r000p000P000h58b00000L512.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@240137z6103.33N/14945.88W_241/000g006t049r000p000P000h57b00000L504.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@240142z6103.33N/14945.88W_237/005g009t049r000p000P000h56b00000L504.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@240152z6103.33N/14945.88W_260/005g007t048r000p000P000h56b00000L432.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@240157z6103.33N/14945.88W_235/004g007t048r000p000P000h57b00000L397.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@240202z6103.33N/14945.88W_245/004g007t048r000p000P000h57b00000L362.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@240212z6103.33N/14945.88W_248/004g008t048r000p000P000h56b00000L338.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@240217z6103.33N/14945.88W_245/003g007t048r000p000P000h57b00000L348.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@240222z6103.33N/14945.88W_254/005g007t048r000p000P000h56b00000L297.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@240227z6103.33N/14945.88W_252/002g007t048r000p000P000h57b00000L257.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@240232z6103.33N/14945.88W_256/004g008t048r000p000P000h56b00000L255.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@240237z6103.33N/14945.88W_254/006g008t048r000p000P000h57b00000L267.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@240242z6103.33N/14945.88W_263/003g008t048r000p000P000h57b00000L267.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@240247z6103.33N/14945.88W_272/005g008t048r000p000P000h57b00000L257.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@240252z6103.33N/14945.88W_261/004g008t047r000p000P000h57b00000L253.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@240257z6103.33N/14945.88W_266/004g007t047r000p000P000h57b00000L236.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@240302z6103.33N/14945.88W_276/005g008t047r000p000P000h57b00000L204.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@240317z6103.33N/14945.88W_245/001g006t048r000p000P000h56b00000L244.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@240322z6103.33N/14945.88W_246/000g005t048r000p000P000h55b00000L239.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@240327z6103.33N/14945.88W_244/001g003t048r000p000P000h55b00000L227.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@240332z6103.33N/14945.88W_243/000g003t049r000p000P000h55b00000L213.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@240337z6103.33N/14945.88W_243/001g003t049r000p000P000h54b00000L199.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@240347z6103.33N/14945.88W_244/002g003t049r000p000P000h54b00000L155.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@240352z6103.33N/14945.88W_243/005g007t049r000p000P000h54b00000L137.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@240357z6103.33N/14945.88W_247/004g007t048r000p000P000h55b00000L139.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@240407z6103.33N/14945.88W_255/003g007t047r000p000P000h56b00000L123.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@240412z6103.33N/14945.88W_253/001g006t047r000p000P000h56b00000L111.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@240422z6103.33N/14945.88W_254/003g006t047r000p000P000h56b00000L088.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@240427z6103.33N/14945.88W_250/004g006t047r000p000P000h57b00000L077.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@240432z6103.33N/14945.88W_250/003g006t047r000p000P000h57b00000L069.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@240437z6103.33N/14945.88W_246/002g005t047r000p000P000h58b00000L054.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@240442z6103.33N/14945.88W_248/002g005t047r000p000P000h59b00000L047.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@240447z6103.33N/14945.88W_246/001g003t047r000p000P000h58b00000L044.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@240452z6103.33N/14945.88W_247/000g002t047r000p000P000h59b00000L037.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@240457z6103.33N/14945.88W_248/000g002t047r000p000P000h58b00000L030.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@240507z6103.33N/14945.88W_245/000g000t047r000p000P000h60b00000L019.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@240512z6103.33N/14945.88W_247/000g000t047r000p000P000h62b00000L016.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@240517z6103.33N/14945.88W_247/000g000t047r000p000P000h62b00000L012.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-4:@240522z6103.33N/14945.88W_247/000g000t046r000p000P000h63b00000L011.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-6:@240532z6103.33N/14945.88W_247/000g002t045r000p000P000h65b00000L007.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-7:@240537z6103.33N/14945.88W_227/003g005t045r000p000P000h63b00000L005.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-5:@240542z6103.33N/14945.88W_218/004g006t044r000p000P000h64b00000L000.DsVP
DW5765>APRS,TCPXX*,qAX,CWOP-3:@240547z6103.33N/14945.88W_217/004g005t043r000p000P000h64b00000L000.DsVP