N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,WI9HRO-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,WI9HRO-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,WI9HRO-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,WI9HRO-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAR,W9RCG-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,WI9HRO-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=
N9UUP-1>TSPT3V,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:'t7Al #/]=
N9UUP-1>TSPT3V,W9RCG-2,N9LKH-1,WIDE2*,qAR,W9CQO-1:'t7Al #/]=