K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:Urt4$L8j CQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urf4$L%j AQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,WB5PJB-55:!/:Uqs4$L!j GQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Ura4$L0j DQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Us&4$L3j AQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urk4$L:j BQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urt4$L8j BQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:Uru4$L1j DQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Urh4$L3j ?Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:UrU4$L7j CQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Ur{4$L7j DQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Us34$L4j @Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urm4$L3j CQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:Us$4$Kqj FQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:UrM4$Ktj EQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Us(4$L*j @Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:UrK4$L1j @Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urx4$L7j CQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,WB5PJB-55:!/:UsS4$Kxj =Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:UrT4$L=j EQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Url4$L3j @Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Uv64$JejQ@Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:V!e4$JOjQ=Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:V!^4$EXjQ<Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:V!C4$>GjQ:Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Ut<4$>?jQ9Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Ufd4$>CjQ7Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,WB5PJB-55:!/:UV#4$>IjQ5Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:UJ/4$>JjQ5Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:U=d4$>NjQ8Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:U1t4$>KjQ8Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:U&(4$>GjQ8Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:ThE4$>VjQ8Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,WB5PJB-55:!/:T\+4$>SjQ;Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:TPm4$>QjQ=Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:TE54$>VjQ>Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:T8r4$>UjQ?Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:T-B4$>XjQ@Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:Stw4$>kjQ?Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:ShT4$>mjQ?Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:SR04$?$jQ>Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:SFY4$?%jQ?Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:S6H4$?-jQAQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:S*O4$>ojQCQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:S!D4$:mjQBQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:RYy4$)mjQ<Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,W6AUN-40:!/:RDE4#p:jQ7Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:R=;4#j=jQ5Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:R*b4#\sjQ0Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:R%[4#U{jQ/Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Q{Y4#O=jQ-Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Qqw4#K4jQ,Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:Qgy4#GDjQ)Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:QSd4#=AjQ%Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:QO\4#92jQ$Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0RMT-40:!/:QMP4#4ojQ$Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:QI&4#*qjQ!Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Q?34"rIjPzQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Q='4"gbjPyQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Q824"eLjPwQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:Q/)4"a=jPuQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:P{]4"Y6jPpQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,WB5PJB-55:!/:Pq64"WtjPoQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Pk&4"WJjPlQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:PbV4"WSjPlQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:PX_4"VxjPkQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:PSV4"T7jPjQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:PRp4"Q`jPjQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AB0VZ-40:!/:PP)4"HzjPfQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:PGE4"GvjPfQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:P*<4"EcjPgQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:Oe)4"C'jPeQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:OfC4">BjPcQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:Oby4"<AjPcQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:O^J4"<rjPbQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:O^+4"@CjPcQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,WB5PJB-55:!/:O[r4"Anj eQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:O[h4"Arj dQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:O_a4"BNjPaQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,WB5PJB-55:!/:P(*4"E5jPaQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:P-)4"EnjPbQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:P0_4"FnjPdQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:P-#4"F6jPdQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,WB5PJB-55:!/:P1A4"E[jPcQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:PF/4"FxjPcQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,WB5PJB-55:!/:PF:4"D.jPcQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:PC24"BajP_Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:PFZ4"CojPbQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:PFf4"FAjPcQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:PJ@4"G]jPcQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:PQ34"HVjPcQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,WB5PJB-55:!/:PR44"K^jPdQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:PTM4"U'jPkQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,WB5PJB-55:!/:P[\4"W<jPlQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Pg"4"WOjPmQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:Pr*4"WbjPnQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Q#"4"Y\jPpQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Q*L4"^!jPqQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Q1c4"b7jPrQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Q9^4"eajPtQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Q=E4"fCjPtQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:Q=o4"kYjPvQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:QDT4#$sjPzQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:QR.4#;jjQ"Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:QY^4#A1jQ$Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:QmA4#I`jQ(Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:QzJ4#N;jQ+Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0RMT-40:!/:R%34#TWjQ.Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:R+14#]/jQ1Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:R464#cPjQ3Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:RC*4#nZjQ6Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:R[T4$*PjQ<Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Rdf4$.gjQ<Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:RnG4$3HjQ>Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:S+34$?#jQBQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:S4O4$?-jQBQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Sa84$>sjQ;Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:T4K4$>XjQ?Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Tc-4$>QjQ<Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0KI-10:!/:U7I4$>JjQ6Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Ug^4$>AjQ3Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:V!94$>DjQ5Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:V!k4$C[jQ7Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:V![4$J_jQ<Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,WB5PJB-55:!/:Ux94$JejQ=Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:UsC4$K,jQ?Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:UrT4$Kzj @Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Uru4$L4j AQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Us.4$L1j EQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:UrK4$LLj @Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Us?4$L.j CQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:Uru4$L/j @Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:Uru4$LCj DQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:UsF4$Kuj 9Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urt4$L<j =Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:UrZ4$L3j 9Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:UrO4$L5j ?Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:UtC4$NBjQJQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:Urb4$L/jQAQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:Us)4$L?j BQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Us$4$L)j @Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:Urm4$L%j BQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urm4$L3j @Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,K8ZTT-40:!/:Urh4$L4j HQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:Us)4$L1j BQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Us&4$L3j BQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Uru4$L>j AQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:Urx4$L.j CQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0KI-10:!/:Urp4$L3j ?Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:UrX4$L5j BQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:UrD4$L.j @Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Us%4$L3j BQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:Us(4$L&j CQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Us84$L!j DQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:Ury4$L>j BQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urj4$L<j :Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:Us%4$L4j BQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urx4$L8j CQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:Urb4$L5j CQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Us#4$L4j ?Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:UrF4$Ktj ?Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:UrU4$L0j DQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:UrD4$L1j IQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:UsJ4$Kzj ?Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Urx4$L1j DQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Ur]4$L?j AQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urq4$LTj HQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Us34$L0j CQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:UrQ4$L*j DQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Us.4$Kyj 8Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:UrV4$LGj DQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Uq#4$MCj cQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Ut=4$L1jQ=Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urb4$L/j CQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urh4$L(j ?Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Ur`4$L-j >Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:UsU4$Kyj ?Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Us64$L1j CQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Us44$Ktj DQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,AG7U-40:!/:Us#4$L1j CQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Us#4$L*j ?Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:UrF4$LLj KQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urq4$LJj EQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urw4$L5j AQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Urr4$L#j DQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Us;4$L&j >Q
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Us;4$KSj EQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urb4$L#j DQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Ur{4$L:j BQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Urp4$L3j BQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,WD4IXD-40:!/:UrQ4$L=j FQ
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Uru4$L4j @Q
K8ZTT-45>APLRT1,WIDE1-1,qAR,N0AIU-40:!/:Us54$L/j CQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Us#4$L4j EQ
K8ZTT-45>APLRT1,WIDE1-1,qAO,W0ARP-40:!/:Urk4$L3j BQ