JN1RJJ-9>STUVQ6,JM1YTY-3*,WIDE2-1,qAO,JQ1ZVN-10:`C])mrG>/`"53}439.340MHz T088 -500_%
JN1RJJ-9>STUVQ5,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C\pn|j>/`"4u}439.340MHz T088 -500_%
JN1RJJ-9>STUVQ6,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C\dlgi>/`"4x}439.340MHz T088 -500_%
JN1RJJ-9>STUVQ6,JA2YQQ-3,WIDE1*,WIDE2-1,qAR,JP1BHH-10:`C]l o>/`"5*}439.340MHz T088 -500_%
JN1RJJ-9>STUVS5,JA2YQQ-3,WIDE1*,WIDE2-1,qAR,JP1BHH-10:`C]!n_G>/`"4w}439.340MHz T088 -500_%
JN1RJJ-9>STUVV9,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JN1ARX-13:`C]n_#>/`"4I}439.340MHz T088 -500_%
JN1RJJ-9>STUVX4,JA2YQQ-3,WIDE1*,WIDE2-1,qAR,JP1BHH-10:`C\vn\.>/`"41}439.340MHz T088 -500_%
JN1RJJ-9>STUWQ2,JA2YQQ-3,WIDE1*,WIDE2-1,qAR,JP1BHH-10:`C])mzU>/`"4+}439.340MHz T088 -500_%
JN1RJJ-9>STUWR3,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JN1ARX-13:`C]=mp0>/`"4$}439.340MHz T088 -500_%
JN1RJJ-9>STUWV8,JA2YQQ-3,WIDE1*,WIDE2-1,qAR,JP1BHH-10:`C]KmsH>/`"4)}439.340MHz T088 -500_%
JN1RJJ-9>STUWW9,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JN1ARX-13:`C]Glz>>/`"4'}439.340MHz T088 -500_%
JN1RJJ-9>STUXS4,JA2YQQ-3,WIDE1*,WIDE2-1,qAR,JP1BHH-10:`C]pl_<>/`"3x}439.340MHz T088 -500_%
JN1RJJ-9>STUXW7,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JN1ARX-13:`C]VnR'>/`"3u}439.340MHz T088 -500_%
JN1RJJ-9>STUYU9,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C]<oT{>/`"3r}439.340MHz T088 -500_%
JN1RJJ-9>STUYX3,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C]"n}=>/`"3p}439.340MHz T088 -500_%
JN1RJJ-9>SUPPP8,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C\|nf(>/`"3q}439.340MHz T088 -500_%
JN1RJJ-9>SUPPT3,JM1YUK-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C]%n4G>/`"3r}439.340MHz T088 -500_%
JN1RJJ-9>SUPPU4,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C],mfw>/`"43}439.340MHz T088 -500_%
JN1RJJ-9>SUPPV3,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C]:m4C>/`"4H}439.340MHz T088 -500_%
JN1RJJ-9>SUPPW3,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C]9m>(>/`"4V}439.340MHz T088 -500_%
JN1RJJ-9>SUPPW8,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C]Hn M>/`"4k}439.340MHz T088 -500_%
JN1RJJ-9>SUPQT7,JA2YQQ-3,WIDE1*,WIDE2-1,qAR,JP1BHH-10:`C]pnH=>/`"4R}439.340MHz T088 -500_%
JN1RJJ-9>SUPQU8,JM1YUJ-1*,WIDE2-1,qAR,JL1UXH-10:`C]ln^|>/`"4_}439.340MHz T088 -500_%
JN1RJJ-9>SUPQV9,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C]on>->/`"4l}439.340MHz T088 -500_%
JN1RJJ-9>SUPQX4,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C]gn-0>/`"4u}439.340MHz T088 -500_%
JN1RJJ-9>SUPRP3,JM1YUJ-1*,WIDE2-1,qAR,JL1UXH-10:`C]imf)>/`"5$}439.340MHz T088 -500_%
JN1RJJ-9>SUPRS7,JM1YUK-3,WIDE1*,WIDE2-1,qAS,JG1PNO-10:`C]un*c>/`"4b}439.340MHz T088 -500_%
JN1RJJ-9>SUPRU9,JM1YUJ-1*,WIDE2-1,qAR,JL1UXH-10:`C]}nz#>/`"4S}439.340MHz T088 -500_%
JN1RJJ-9>SUPRX0,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C]tn_1>/`"4-}439.340MHz T088 -500_%
JN1RJJ-9>SUPRW5,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C\Wmrh>/`"3v}439.340MHz T088 -500_%
JN1RJJ-9>SUPRY2,JM1YTY-3*,WIDE2-1,qAO,JQ1ZVN-10:`C\7m"N>/`"3z}439.340MHz T088 -500_%
JN1RJJ-9>SUPRX1,JM1YUK-3,WIDE1*,WIDE2-1,qAS,JG1PNO-10:`C[}ls7>/`"4A}439.340MHz T088 -500_%
JN1RJJ-9>SUPRX8,JM1YUK-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C[~lRZ>/`"4P}439.340MHz T088 -500_%
JN1RJJ-9>SUPRY3,JM1YUJ-1*,WIDE2-1,qAS,JG1PNO-10:`C[xl}->/`"4E}439.340MHz T088 -500_%
JN1RJJ-9>SUPSP0,JM1YUK-3,WIDE1*,WIDE2-1,qAO,JQ1ZVN-10:`C[zlz\>/`"4@}439.340MHz T088 -500_%
JN1RJJ-9>SUPSP2,JM1YUK-3,WIDE1*,WIDE2-1,qAS,JG1PNO-10:`C[um,k>/`"4S}439.340MHz T088 -500_%
JN1RJJ-9>SUPSP8,JM1YUJ-1*,WIDE2-1,qAR,JF1OCG-10:`C[rl>m>/`"4o}439.340MHz T088 -500_%
JN1RJJ-9>SUPSP8,JM1YUJ-1*,WIDE2-1,qAR,JL1UXH-10:`C[rlUO>/`"4s}439.340MHz T088 -500_%