JI1AOI-9>SU3RQ8,JA2YQQ-3,WIDE1,JM1YUK-3,WIDE2,qAO,JQ1ZVN-10:`C3Hl#0>/`"55}433.00MHz_RX_$
JI1AOI-9>SU3RV3,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C2xl#I>/`"5D}433.00MHz_RX_$
JI1AOI-9>SU3SS5,WIDE1-1,WIDE2-1,qAR,JP1BHH-10:`C2Pm_H>/`"5@}433.00MHz_RX_$
JI1AOI-9>SU3SW3,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C2Hl >/`"5A}433.00MHz_RX_$
JI1AOI-9>SU3TT3,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C1el#>/`"5F}433.00MHz_RX_$
JI1AOI-9>SU3TU9,JM1YTY-3*,WIDE2-1,qAO,JQ1ZVN-10:`C0cn6e>/`"5H}433.00MHz_RX_$
JI1AOI-9>SU3TX2,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C0!l|i>/`"5H}433.00MHz_RX_$
JI1AOI-9>SU3TT9,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C/El7;>/`"5>}433.00MHz_RX_$
JI1AOI-9>SU3TU9,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C/[m*>>/`"55}433.00MHz_RX_$
JI1AOI-9>SU3TU9,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C0jmfv>/`"5T}433.00MHz_RX_$
JI1AOI-9>SU3TU8,JM1YTY-3*,WIDE2-1,qAO,JQ1ZVN-10:`C1%l5>/`"5T}433.00MHz_RX_$
JI1AOI-9>SU3TU2,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C1Sl!3>/`"5A}433.00MHz_RX_$
JI1AOI-9>SU3TR5,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C2#l!4>/`"5H}433.00MHz_RX_$
JI1AOI-9>SU3SX2,JM1YTY-3*,WIDE2-1,qAS,JG1PNO-10:`C2GmJ!>/`"5A}433.00MHz_RX_$
JI1AOI-9>SU3SU3,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C2WmRd>/`"5K}433.00MHz_RX_$
JI1AOI-9>SU3SU2,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JN1ARX-13:`C2Rl#@>/`"5E}433.00MHz_RX_$
JI1AOI-9>SU3SR2,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C2WmgW>/`"58}433.00MHz_RX_$
JI1AOI-9>SU3RS7,JA2YQQ-3,WIDE1*,WIDE2-1,qAO,JN1ARX-13:`C3+n+8>/`"5'}433.00MHz_RX_$
JI1AOI-9>SU3RR2,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C3_l!>/`"53}433.00MHz_RX_$
JI1AOI-9>SU3RR5,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C4l4u>/`"5,}433.00MHz_RX_$
JI1AOI-9>SU3QU7,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C4ZlqN>/`"5(}433.00MHz_RX_$
JI1AOI-9>SU3QU7,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C4>l ?>/`"5!}433.00MHz_RX_$
JI1AOI-9>SU3RQ8,JA2YQQ-3,WIDE1*,WIDE2-1,qAR,JG1FVF:`C3Hli5>/`"4{}433.00MHz_RX_$
JI1AOI-9>SU3RU1,JM1YTY-3*,WIDE2-1,qAO,JQ1ZVN-10:`C0@n6u>/`"4f}433.00MHz_RX_$
JI1AOI-9>SU3RV9,JM1YUJ-1*,WIDE2-1,qAR,JL1UXH-10:`C0$m5h>/`"4Q}433.00MHz_RX_$
JI1AOI-9>SU3RS4,WIDE1-1,WIDE2-1,qAO,JN1ARX-13:`C/vl,.>/`"4C}433.00MHz_RX_$